ASIC/SoC functional design verification : : a comprehensive guide to technologies and methodologies / / Ashok B. Mehta.

Saved in:
Bibliographic Details
VerfasserIn:
Place / Publishing House:Cham, Switzerland : : Springer,, 2018.
2018
Year of Publication:2018
Language:English
Online Access:
Physical Description:1 online resource (328 pages) :; illustrations
Tags: Add Tag
No Tags, Be the first to tag this record!
LEADER 01782nam a2200409 i 4500
001 5004890725
003 MiAaPQ
005 20200520144314.0
006 m o d |
007 cr cnu||||||||
008 170719t20182018sz a ob 001 0 eng d
020 |z 9783319594170 
020 |a 9783319594187 (e-book) 
035 |a (MiAaPQ)5004890725 
035 |a (Au-PeEL)EBL4890725 
035 |a (CaPaEBR)ebr11403737 
035 |a (OCoLC)992728359 
040 |a MiAaPQ  |b eng  |e rda  |e pn  |c MiAaPQ  |d MiAaPQ 
050 4 |a TK7885.7  |b .M448 2018 
082 0 |a 621.392  |2 23 
100 1 |a Mehta, Ashok B.,  |e author. 
245 1 0 |a ASIC/SoC functional design verification :  |b a comprehensive guide to technologies and methodologies /  |c Ashok B. Mehta. 
264 1 |a Cham, Switzerland :  |b Springer,  |c 2018. 
264 4 |c 2018 
300 |a 1 online resource (328 pages) :  |b illustrations 
336 |a text  |2 rdacontent 
337 |a computer  |2 rdamedia 
338 |a online resource  |2 rdacarrier 
504 |a Includes bibliographical references and index. 
588 |a Description based on print version record. 
590 |a Electronic reproduction. Ann Arbor, MI : ProQuest, 2016. Available via World Wide Web. Access may be limited to ProQuest affiliated libraries. 
650 0 |a SystemVerilog (Computer hardware description language) 
650 0 |a Application-specific integrated circuits  |x Design. 
655 4 |a Electronic books. 
776 0 8 |i Print version:  |a Mehta, Ashok B.  |t ASIC/SoC functional design verification : a comprehensive guide to technologies and methodologies.  |d Cham, Switzerland : Springer, c2018   |h xxxi, 328 pages   |z 9783319594170   |w 2017941514 
797 2 |a ProQuest (Firm) 
856 4 0 |u https://ebookcentral.proquest.com/lib/oeawat/detail.action?docID=4890725  |z Click to View